CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - flip flop

搜索资源列表

  1. vhdl

    1下载:
  2. 包括一个8位D触发器、一个jk触发器、一个10的计数器。适合初学者和开发人员-Including an 8-bit D flip-flop, a jk flip-flop, a 10-counter. Suitable for beginners and developers
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-02-07
    • 文件大小:1122
    • 提供者:龚成
  1. bhgfdti

    0下载:
  2. 含有七人表决器,格雷码变换电路,英文字符显示电路,基本触发器(D和JK),74LS160计数器功能模块,步长可变的加减计数器-Containing seven people vote, and Gray code conversion circuit, the English characters display circuit, the basic flip-flop (D and JK), 74LS160 counter function modules, variable-step add
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:423791
    • 提供者:俞皓尹
  1. dcdlab3

    0下载:
  2. 数字部件设计,verylog,实现用D-FLIP FLOP 实现计数器功能。-Digital Component Design, verylog, using D-FLIP FLOP realize Counter.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-29
    • 文件大小:263710
    • 提供者:林玲
  1. jk-ff

    0下载:
  2. j-k flip flop implementation in XCS2-j-k flip flop implementation in XCS200
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:15209
    • 提供者:Amirali
  1. jkff

    0下载:
  2. JK flip-flop is implemented using VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:39426
    • 提供者:nik
  1. vhdl_jk

    0下载:
  2. 本程序通过使用vhdl语言描述JK触发器,实现了JK触发器的四个工作状态,进而我们可以将其应用到其他使用JK触发器的电路中-The procedure by using vhdl language to describe the JK flip-flop, JK flip-flop realized the four working state, then we can apply it to others using the JK flip-flop circuit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:201977
    • 提供者:刘轶龙
  1. hdl

    0下载:
  2. cnt_top,It is used to realize a D flip flop. it is written with verilog.
  3. 所属分类:Multimedia program

    • 发布日期:2017-04-13
    • 文件大小:1572
    • 提供者:lzqqqppp
  1. DtoJK

    0下载:
  2. Using an edge triggered D flip-flop to implement a JK flip-flop
  3. 所属分类:Windows Develop

  1. 5

    0下载:
  2. Code for JK flip flop and SR flip flop
  3. 所属分类:Compiler program

    • 发布日期:2017-04-11
    • 文件大小:947
    • 提供者:D S Manjunath
  1. cnt8

    0下载:
  2. 用JK-flip-flop做的8进制counter-mod-8-counter
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:385003
    • 提供者:suhang
  1. srandDflipflop

    0下载:
  2. this project is based on sr and d flip flop using vhdl.this is the 100 correct code,reference is taken from book digital electrionics written by anand kumar.please use quatrus to access this code.this code can be used for the final year project for e
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:205368
    • 提供者:jatab
  1. 74hc74

    0下载:
  2. 带使能和清零端的D触发器,Verilog实现,有实验说明文档。-With a clear end to enable and D flip-flop, Verilog implementation, there is experimental documentation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:316199
    • 提供者:mypudn0001
  1. D_latch

    0下载:
  2. 周立功 ACTEl FPGA做的一个D触发器程序-ZLG ACTEl FPGA program to do a D flip-flop
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:769813
    • 提供者:张金
  1. count10

    0下载:
  2. 十进制计数器 自己尝试编辑的,可以-jk flip-flop, try to edit their own, using state machine to achieve, you can-Decimal counter his attempt to edit, and can-jk flip-flop, try to edit their own, using state machine to achieve, you can
  3. 所属分类:FlashMX/Flex

    • 发布日期:2017-03-28
    • 文件大小:106137
    • 提供者:liu jian ming
  1. vhdl-code-for-jk-flip-flop

    0下载:
  2. vhdl program of jk flip flop. positive edge triggerd. the test bench is also available with the code. a simple program to start with vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:11667
    • 提供者:nasimus
  1. D-flip-flop

    0下载:
  2. Verilog的简单D触发器设计-Simple D flip-flop in Verilog design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:957
    • 提供者:陈俊辉
  1. flip-flop

    0下载:
  2. Set Reset Flip Flop designed using cross coupled nand gates
  3. 所属分类:LabView

    • 发布日期:2017-11-13
    • 文件大小:40366
    • 提供者:nishant
  1. The-D-flip-flop

    0下载:
  2. D触发器的Verilog硬件语言实现,开发环境是ModelSim-The D flip-flop of the Verilog hardware language development environment is ModelSim
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:3151
    • 提供者:klxl
  1. D-flip-flop

    0下载:
  2. D 触发器的描述 寄存器的行为 描述 -D flip-flop registers describe the behavior described in the behavior described register
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-05
    • 文件大小:260869
    • 提供者:xiaopeng
  1. JK-flip-flop

    0下载:
  2. 带有异步置位复位端的上升沿触发的JK触发器,使用VHDL语言实现的-Asynchronous reset terminal set with rising edge triggered JK flip-flop, the use of VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:14997
    • 提供者:chen
« 12 3 4 5 6 7 8 9 10 ... 15 »
搜珍网 www.dssz.com